Problem with CLK in implementing counter in VHDL





.everyoneloves__top-leaderboard:empty,.everyoneloves__mid-leaderboard:empty,.everyoneloves__bot-mid-leaderboard:empty{ height:90px;width:728px;box-sizing:border-box;
}







0















I am trying to implement counter which gives as output values from one to six, which I want to later put on 7 segment display on fpga. The problem is with CLK, process doesn't see value of CLK changing and simulation gives as output always set of values "1001111".



library ieee;
use ieee.std_logic_1164.all;

entity projekt is
generic ( half_period : time := 10ms);
port(Q : out std_logic_vector(6 downto 0));
end projekt;

architecture a1 of projekt is
signal CLK : std_logic := '0';
begin
CLK <= not CLK after half_period;
process(CLK)
variable tmpQ : integer range 0 to 7 := 1;
begin
if ( CLK = '1' and CLK'event ) then
tmpQ := tmpQ + 1;
if tmpQ = 7 then
tmpQ := 1;
end if;
end if;
case tmpQ is
when 1 => Q <= "1001111";
when 2 => Q <= "0010010";
when 3 => Q <= "0000110";
when 4 => Q <= "1001100";
when 5 => Q <= "0100100";
when 6 => Q <= "0100000";
when others => Q <= "1111111";
end case;
end process;
end a1;


Is it CLK not changing or program never enters if statement?










share|improve this question

























  • What are you simulating? RTL sim or netlist simulation? you cannot synthesise "after" statements, so if you're simulation is a netlist clock is probably stuck at '0'. Your code is only valid for simulation and will not work on an FPGA.

    – Tricky
    Nov 22 '18 at 11:34











  • @Tricky I am doing simple waveform simulation using software "Quartus 2". Can you explain in more details what do you mean by "synthesizing "after" "?

    – Jerzy Wenta
    Nov 22 '18 at 12:11






  • 1





    Quartus simulator does a netlist simulation. So your clock is stuck at 0. You will need to perform an RTL simulation on something like Modelsim to see your clock actually clocking. But the point remains, this code will not work on real hardware (as demonstrated in the Q2 simulation).

    – Tricky
    Nov 22 '18 at 14:08


















0















I am trying to implement counter which gives as output values from one to six, which I want to later put on 7 segment display on fpga. The problem is with CLK, process doesn't see value of CLK changing and simulation gives as output always set of values "1001111".



library ieee;
use ieee.std_logic_1164.all;

entity projekt is
generic ( half_period : time := 10ms);
port(Q : out std_logic_vector(6 downto 0));
end projekt;

architecture a1 of projekt is
signal CLK : std_logic := '0';
begin
CLK <= not CLK after half_period;
process(CLK)
variable tmpQ : integer range 0 to 7 := 1;
begin
if ( CLK = '1' and CLK'event ) then
tmpQ := tmpQ + 1;
if tmpQ = 7 then
tmpQ := 1;
end if;
end if;
case tmpQ is
when 1 => Q <= "1001111";
when 2 => Q <= "0010010";
when 3 => Q <= "0000110";
when 4 => Q <= "1001100";
when 5 => Q <= "0100100";
when 6 => Q <= "0100000";
when others => Q <= "1111111";
end case;
end process;
end a1;


Is it CLK not changing or program never enters if statement?










share|improve this question

























  • What are you simulating? RTL sim or netlist simulation? you cannot synthesise "after" statements, so if you're simulation is a netlist clock is probably stuck at '0'. Your code is only valid for simulation and will not work on an FPGA.

    – Tricky
    Nov 22 '18 at 11:34











  • @Tricky I am doing simple waveform simulation using software "Quartus 2". Can you explain in more details what do you mean by "synthesizing "after" "?

    – Jerzy Wenta
    Nov 22 '18 at 12:11






  • 1





    Quartus simulator does a netlist simulation. So your clock is stuck at 0. You will need to perform an RTL simulation on something like Modelsim to see your clock actually clocking. But the point remains, this code will not work on real hardware (as demonstrated in the Q2 simulation).

    – Tricky
    Nov 22 '18 at 14:08














0












0








0








I am trying to implement counter which gives as output values from one to six, which I want to later put on 7 segment display on fpga. The problem is with CLK, process doesn't see value of CLK changing and simulation gives as output always set of values "1001111".



library ieee;
use ieee.std_logic_1164.all;

entity projekt is
generic ( half_period : time := 10ms);
port(Q : out std_logic_vector(6 downto 0));
end projekt;

architecture a1 of projekt is
signal CLK : std_logic := '0';
begin
CLK <= not CLK after half_period;
process(CLK)
variable tmpQ : integer range 0 to 7 := 1;
begin
if ( CLK = '1' and CLK'event ) then
tmpQ := tmpQ + 1;
if tmpQ = 7 then
tmpQ := 1;
end if;
end if;
case tmpQ is
when 1 => Q <= "1001111";
when 2 => Q <= "0010010";
when 3 => Q <= "0000110";
when 4 => Q <= "1001100";
when 5 => Q <= "0100100";
when 6 => Q <= "0100000";
when others => Q <= "1111111";
end case;
end process;
end a1;


Is it CLK not changing or program never enters if statement?










share|improve this question
















I am trying to implement counter which gives as output values from one to six, which I want to later put on 7 segment display on fpga. The problem is with CLK, process doesn't see value of CLK changing and simulation gives as output always set of values "1001111".



library ieee;
use ieee.std_logic_1164.all;

entity projekt is
generic ( half_period : time := 10ms);
port(Q : out std_logic_vector(6 downto 0));
end projekt;

architecture a1 of projekt is
signal CLK : std_logic := '0';
begin
CLK <= not CLK after half_period;
process(CLK)
variable tmpQ : integer range 0 to 7 := 1;
begin
if ( CLK = '1' and CLK'event ) then
tmpQ := tmpQ + 1;
if tmpQ = 7 then
tmpQ := 1;
end if;
end if;
case tmpQ is
when 1 => Q <= "1001111";
when 2 => Q <= "0010010";
when 3 => Q <= "0000110";
when 4 => Q <= "1001100";
when 5 => Q <= "0100100";
when 6 => Q <= "0100000";
when others => Q <= "1111111";
end case;
end process;
end a1;


Is it CLK not changing or program never enters if statement?







vhdl fpga






share|improve this question















share|improve this question













share|improve this question




share|improve this question








edited Nov 22 '18 at 10:58







Jerzy Wenta

















asked Nov 22 '18 at 10:15









Jerzy WentaJerzy Wenta

11




11













  • What are you simulating? RTL sim or netlist simulation? you cannot synthesise "after" statements, so if you're simulation is a netlist clock is probably stuck at '0'. Your code is only valid for simulation and will not work on an FPGA.

    – Tricky
    Nov 22 '18 at 11:34











  • @Tricky I am doing simple waveform simulation using software "Quartus 2". Can you explain in more details what do you mean by "synthesizing "after" "?

    – Jerzy Wenta
    Nov 22 '18 at 12:11






  • 1





    Quartus simulator does a netlist simulation. So your clock is stuck at 0. You will need to perform an RTL simulation on something like Modelsim to see your clock actually clocking. But the point remains, this code will not work on real hardware (as demonstrated in the Q2 simulation).

    – Tricky
    Nov 22 '18 at 14:08



















  • What are you simulating? RTL sim or netlist simulation? you cannot synthesise "after" statements, so if you're simulation is a netlist clock is probably stuck at '0'. Your code is only valid for simulation and will not work on an FPGA.

    – Tricky
    Nov 22 '18 at 11:34











  • @Tricky I am doing simple waveform simulation using software "Quartus 2". Can you explain in more details what do you mean by "synthesizing "after" "?

    – Jerzy Wenta
    Nov 22 '18 at 12:11






  • 1





    Quartus simulator does a netlist simulation. So your clock is stuck at 0. You will need to perform an RTL simulation on something like Modelsim to see your clock actually clocking. But the point remains, this code will not work on real hardware (as demonstrated in the Q2 simulation).

    – Tricky
    Nov 22 '18 at 14:08

















What are you simulating? RTL sim or netlist simulation? you cannot synthesise "after" statements, so if you're simulation is a netlist clock is probably stuck at '0'. Your code is only valid for simulation and will not work on an FPGA.

– Tricky
Nov 22 '18 at 11:34





What are you simulating? RTL sim or netlist simulation? you cannot synthesise "after" statements, so if you're simulation is a netlist clock is probably stuck at '0'. Your code is only valid for simulation and will not work on an FPGA.

– Tricky
Nov 22 '18 at 11:34













@Tricky I am doing simple waveform simulation using software "Quartus 2". Can you explain in more details what do you mean by "synthesizing "after" "?

– Jerzy Wenta
Nov 22 '18 at 12:11





@Tricky I am doing simple waveform simulation using software "Quartus 2". Can you explain in more details what do you mean by "synthesizing "after" "?

– Jerzy Wenta
Nov 22 '18 at 12:11




1




1





Quartus simulator does a netlist simulation. So your clock is stuck at 0. You will need to perform an RTL simulation on something like Modelsim to see your clock actually clocking. But the point remains, this code will not work on real hardware (as demonstrated in the Q2 simulation).

– Tricky
Nov 22 '18 at 14:08





Quartus simulator does a netlist simulation. So your clock is stuck at 0. You will need to perform an RTL simulation on something like Modelsim to see your clock actually clocking. But the point remains, this code will not work on real hardware (as demonstrated in the Q2 simulation).

– Tricky
Nov 22 '18 at 14:08












0






active

oldest

votes












Your Answer






StackExchange.ifUsing("editor", function () {
StackExchange.using("externalEditor", function () {
StackExchange.using("snippets", function () {
StackExchange.snippets.init();
});
});
}, "code-snippets");

StackExchange.ready(function() {
var channelOptions = {
tags: "".split(" "),
id: "1"
};
initTagRenderer("".split(" "), "".split(" "), channelOptions);

StackExchange.using("externalEditor", function() {
// Have to fire editor after snippets, if snippets enabled
if (StackExchange.settings.snippets.snippetsEnabled) {
StackExchange.using("snippets", function() {
createEditor();
});
}
else {
createEditor();
}
});

function createEditor() {
StackExchange.prepareEditor({
heartbeatType: 'answer',
autoActivateHeartbeat: false,
convertImagesToLinks: true,
noModals: true,
showLowRepImageUploadWarning: true,
reputationToPostImages: 10,
bindNavPrevention: true,
postfix: "",
imageUploader: {
brandingHtml: "Powered by u003ca class="icon-imgur-white" href="https://imgur.com/"u003eu003c/au003e",
contentPolicyHtml: "User contributions licensed under u003ca href="https://creativecommons.org/licenses/by-sa/3.0/"u003ecc by-sa 3.0 with attribution requiredu003c/au003e u003ca href="https://stackoverflow.com/legal/content-policy"u003e(content policy)u003c/au003e",
allowUrls: true
},
onDemand: true,
discardSelector: ".discard-answer"
,immediatelyShowMarkdownHelp:true
});


}
});














draft saved

draft discarded


















StackExchange.ready(
function () {
StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53428625%2fproblem-with-clk-in-implementing-counter-in-vhdl%23new-answer', 'question_page');
}
);

Post as a guest















Required, but never shown

























0






active

oldest

votes








0






active

oldest

votes









active

oldest

votes






active

oldest

votes
















draft saved

draft discarded




















































Thanks for contributing an answer to Stack Overflow!


  • Please be sure to answer the question. Provide details and share your research!

But avoid



  • Asking for help, clarification, or responding to other answers.

  • Making statements based on opinion; back them up with references or personal experience.


To learn more, see our tips on writing great answers.




draft saved


draft discarded














StackExchange.ready(
function () {
StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53428625%2fproblem-with-clk-in-implementing-counter-in-vhdl%23new-answer', 'question_page');
}
);

Post as a guest















Required, but never shown





















































Required, but never shown














Required, but never shown












Required, but never shown







Required, but never shown

































Required, but never shown














Required, but never shown












Required, but never shown







Required, but never shown







Popular posts from this blog

鏡平學校

ꓛꓣだゔៀៅຸ໢ທຮ໕໒ ,ໂ'໥໓າ໼ឨឲ៵៭ៈゎゔit''䖳𥁄卿' ☨₤₨こゎもょの;ꜹꟚꞖꞵꟅꞛေၦေɯ,ɨɡ𛃵𛁹ޝ޳ޠ޾,ޤޒޯ޾𫝒𫠁သ𛅤チョ'サノބޘދ𛁐ᶿᶇᶀᶋᶠ㨑㽹⻮ꧬ꧹؍۩وَؠ㇕㇃㇪ ㇦㇋㇋ṜẰᵡᴠ 軌ᵕ搜۳ٰޗޮ޷ސޯ𫖾𫅀ल, ꙭ꙰ꚅꙁꚊꞻꝔ꟠Ꝭㄤﺟޱސꧨꧼ꧴ꧯꧽ꧲ꧯ'⽹⽭⾁⿞⼳⽋២៩ញណើꩯꩤ꩸ꩮᶻᶺᶧᶂ𫳲𫪭𬸄𫵰𬖩𬫣𬊉ၲ𛅬㕦䬺𫝌𫝼,,𫟖𫞽ហៅ஫㆔ాఆఅꙒꚞꙍ,Ꙟ꙱エ ,ポテ,フࢰࢯ𫟠𫞶 𫝤𫟠ﺕﹱﻜﻣ𪵕𪭸𪻆𪾩𫔷ġ,ŧآꞪ꟥,ꞔꝻ♚☹⛵𛀌ꬷꭞȄƁƪƬșƦǙǗdžƝǯǧⱦⱰꓕꓢႋ神 ဴ၀க௭எ௫ឫោ ' េㇷㇴㇼ神ㇸㇲㇽㇴㇼㇻㇸ'ㇸㇿㇸㇹㇰㆣꓚꓤ₡₧ ㄨㄟ㄂ㄖㄎ໗ツڒذ₶।ऩछएोञयूटक़कयँृी,冬'𛅢𛅥ㇱㇵㇶ𥄥𦒽𠣧𠊓𧢖𥞘𩔋цѰㄠſtʯʭɿʆʗʍʩɷɛ,əʏダヵㄐㄘR{gỚṖḺờṠṫảḙḭᴮᵏᴘᵀᵷᵕᴜᴏᵾq﮲ﲿﴽﭙ軌ﰬﶚﶧ﫲Ҝжюїкӈㇴffצּ﬘﭅﬈軌'ffistfflſtffतभफɳɰʊɲʎ𛁱𛁖𛁮𛀉 𛂯𛀞నఋŀŲ 𫟲𫠖𫞺ຆຆ ໹້໕໗ๆทԊꧢꧠ꧰ꓱ⿝⼑ŎḬẃẖỐẅ ,ờỰỈỗﮊDžȩꭏꭎꬻ꭮ꬿꭖꭥꭅ㇭神 ⾈ꓵꓑ⺄㄄ㄪㄙㄅㄇstA۵䞽ॶ𫞑𫝄㇉㇇゜軌𩜛𩳠Jﻺ‚Üမ႕ႌႊၐၸဓၞၞၡ៸wyvtᶎᶪᶹစဎ꣡꣰꣢꣤ٗ؋لㇳㇾㇻㇱ㆐㆔,,㆟Ⱶヤマފ޼ޝަݿݞݠݷݐ',ݘ,ݪݙݵ𬝉𬜁𫝨𫞘くせぉて¼óû×ó£…𛅑הㄙくԗԀ5606神45,神796'𪤻𫞧ꓐ㄁ㄘɥɺꓵꓲ3''7034׉ⱦⱠˆ“𫝋ȍ,ꩲ軌꩷ꩶꩧꩫఞ۔فڱێظペサ神ナᴦᵑ47 9238їﻂ䐊䔉㠸﬎ffiﬣ,לּᴷᴦᵛᵽ,ᴨᵤ ᵸᵥᴗᵈꚏꚉꚟ⻆rtǟƴ𬎎

Why https connections are so slow when debugging (stepping over) in Java?